Pintu Portal Otomatis Berbasis Teknologi Programmable Logic

advertisement
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
ISSN : 1858-3709
Pintu Portal Otomatis Berbasis Teknologi Programmable
Logic Device (PLD)
Automatic Portal Base on Programmable Logic Device
(PLD) Technology
Muhammad Irmansyah, Yul Antonisfia
Jurusan Teknik Elektro, Politeknik Negeri Padang
Telp. 0751-72590 Fax. 0751-72576
email : [email protected]
ABSTRACT
Generally speaking, parking area in shopping centre uses portal at the entry and exit door. This control
system applied the new technology but still using operator to open and close the portal in both doors entry and
exit including paid the parking cost. In this paper, design of new system to parking area is built.
The new control use PLD technology to arrange the operation of portal in parking area without
operator. In this system, the parking customer for motorcycle just need to insert three coin of Rp 1000,00 to the
box and the portal will open automatically. After the rider trough the portal, it will be closed by it self.
Keywords : portal, ProgrammableLogicDevice(PLD), DCmotor, counter, coin
PENDAHULUAN
Pada umumnya tempat-tempat
parkir di mall menggunakan pintu portal
untuk tempat masuk dan pintu portal untuk
tempat keluar. Sistim pengontrollan dari
pintu portalnya sudah menggunakan
teknologi yang canggih. Tetapi ada sedikit
kelemahan pada sistim pengontrollannya
yaitu masih menggunakan tenaga manusia
sebagai operator untuk membuka dan
menutup portal baik untuk pintu masuk
maupun pada pintu keluar dan transaksi
uang parkir. Berdasarkan latar belakang
diatas dibuatlah suatu sistim pintu portal
yang menggunakan teknologi PLD sebagai
sarana pengontrollnya. Pada tugas akhir ini
dibuat sistim pintu portal pada tempat
parkir kendaraan bermotor pada bagian
pintu keluar tanpa menggunakan manusia
sebagai operatornya.
Perumusan masalah dalam penelitian
ini adalah :
1. Bagaimana mengaplikasikan sensor
infrared dan photodiode sebagai
pendeteksi koin?
2. Bagaimana mengaplikasikan teknologi
Programmable Logic Device (PLD)
3.
4.
1.
2.
3.
4.
sebagai decoder biner ke decimal untuk
sevent segment sebagai display
perhitungan jumlah koin?
Bagaimana mengaplikasikan teknologi
Programmable Logic Device (PLD)
sebagai pengontrol pintu portal ?
Bagaimana mengaplikasikan motor dc
untuk membuka dan menutup pintu
portal ?
Tujuan dari penelitian ini adalah :
Mengaplikasikan sensor infrared dan
photodiode sebagai pendeteksi koin?
Mengaplikasikan
teknologi
Programmable Logic Device (PLD)
sebagai decoder biner ke decimal untuk
sevent segment sebagai display
perhitungan jumlah koin?
Mengaplikasikan
teknologi
Programmable Logic Device (PLD)
sebagai pengontrol pintu portal ?
Mengaplikasikan motor dc untuk
membuka dan menutup pintu portal ?
Batasan masalah dalam penelitian inin
adalah :
1. PLD yang digunakan IC GAL 22V10.
21
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
2. Bahasa pemograman untuk PLD yang
digunakan HDL
3. Software yang digunakan WARP 4.2
4. Sistim pintu portal digunakan untuk
tempat parkir kendaraan bermotor roda
dua.
5. Sistim pintu portal yang dibuat pada
bagian
pintu
keluar
kendaraan
bermotor.
Photodioda adalah sebuah dioda
semikonduktor yang berfungsi sebagai
sensor cahaya. Photodioda memiliki
hambatan yang sangat tinggi pada saat
dibias mundur. Hambatan ini akan
berkurang ketika photodioda disinari
cahaya dengan panjang gelombang yang
tepat.
Sehingga
photodioda
dapat
digunakan sebagai detector cahaya dengan
memonitoring
arus
yang
mengalir
melaluinya.Photodioda digunakan sebagai
penangkap gelombang cahaya yang
dipancarkan oleh Infrared. Besarnya
tegangan atau arus listrik yang dihasilkan
oleh photodioda tergantung besar kecilnya
radiasi yang dipancarkan oleh infrared.
Photodioda dapat berfungsi sebagai sensor
untuk mengukur intensitas cahaya, dimana
semakin
besar
intensitas
cahaya
(ditunjukkan kenaikan daya lampu) yang
mengenainya maka arus yang dihasilkan
fotodioda juga akan semakin besar.
Infrared
adalah
radiasi
elektromagnetik dari panjang gelombang
lebih panjang dari cahaya tampak, tetapi
lebih pendek dari radiasi gelombang radio.
Namanya berarti “bawah merah” (dari
bahasa Latin infra, “bawah”), merah
merupakan warna dari cahaya tampak
dengan gelombang terpanjang dan memiliki
panjang gelombang antara 700 nm dan 1
mm. Karakteristik adalah tidak dapat dilihat
oleh manusia, tidak dapat menembus materi
yang tidak tembus pandang, Panjang
gelombang
pada
Infrared
memiliki
hubungan yang berlawanan atau berbanding
terbalik dengan suhu ketika suhu
mengalami kenaikan, maka panjang
gelombang mengalami penurunan.
Sensor yang digunakan yaitu
ISSN : 1858-3709
Infrared sebagai transmitter (pemancar)
dengan
pasangan
photodioda
atau
phototransistor sebagai receiver (penerima).
Ketika receiver menerima sinar Infrared
maka pada receiver akan mengalir arus.
Hambatan pada receiver bergantung dengan
sinar yang diterimanya. Jika sinar yang
diterima receiver banyak, maka hambatan
yang di receiver lebih kecil. Begitu juga
sebaliknya, jika sinar yang ditangkap
receiver sedikit maka hambatan di receiver
juga besar.
Peralatan
keluaran
yang
biasa
digunakan untuk memperagakan bilangan
decimal adalah peraga tujuh segmen
(SevenSegment display) yang terdiri dari 7
nyala LED. Ukuran fisik piranti tampilan
ini dipasaran komersil sangat beragam jenis
ukurannya. Mulai yang kecil, sedang,
hingga yang besar dan tentunya dengan
berbagai warna. Untuk memperagakan
angka 0 maka kita harus menyalakan led a
sampai f, untuk memperagakan angka 1
maka kita harus menyalakan led b dan c.
Dengan cara yang sama kita dapat
memperagakan angka desimal dari 0
sampai 9.
Motor DC merupakan jenis motor
yang mengggunakan tegangan searah
sebagai sumber teganganya. Dengan
memberikan beda tegangan pada kedua
terminal tersebut, motor akan berputar pada
satu arah, dan bila polaritas dari tegangan
tersebut diabalik maka arh putaraan motor
akan terbalik pula. Polaritas dari tegangan
yang diberikan pada dua terminal
menentukan arah putaran motor sedangkan
besar dari beda tegangan pada kedua
terminal menentukan kecepatan motor.
Limit switch adalah salah satu sensor
yang akan bekerja jika pada bagian actuator
nya tertekan suatu benda, baik dari samping
kiri ataupun kanan, mempunyai micro
switch dibagian dalamnya yang berfungsi
untuk
mengontakkan
atau
sebagai
pengontak,
gambar
batang
yang
mempunyai roda itu namanya actuator lalu
diikat dengan sebuah baud, berfungsi untuk
menerima tekanan dari luar, roda berfungsi
22
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
agar pada saat limit switch menerima
tekanan , bisa bergerak bebas, kemudian
mempunyai tiga lubang pada body nya
berfungsi untuk tempat dudukan baud pada
saat pemasangan di mesin.
Standard Logic Devices (SLD)
merupakan IC digital logic yang
mempunyai disain tetap. Untuk membuat
rangkaian menggunakan IC ini, maka IC
diletakkan
pada
protoboard
dan
menghubungkanya dengan kabel yang
sembrawut seperti spageti (gambar 1).
Untuk mengikuti perkembangan ini,
perusahaan elektronika telah mendesain dan
membuat produk baru. Untuk memenuhi
tuntutan ini, engineers dan teknisi berusaha
untuk membuat penemuan yang membantu
mereka membuat prototype rangkaian
digital dan mengevaluasi kemajuannya
dalam waktu yang lama. Salah satunya
adalah teknologi Programmable Logic
Devices (PLD) yang merupakan IC digital
logic yang bisa dirubah fungsinya melalui
pemrograman. Pada gambar 2.2 akan dapat
dilihat bagaimana mudahnya membuat
rangkaian digital menggunakan PLD.
Untuk lebih menjelaskan keuntungan PLD
dapat dibandingkan perbedaan antara
membuat rangkaian dengan SLD dan
membuat rangkaian yang sama dengan
menggunakan PLD.
Sebagai contoh, gambar 1(b)
menggambarkan aplikasi dua gerbang
NOT, empat gerbang AND dan empat
gerbang OR yang terhubung untuk
membuktikan fungsi logika seperti table
kebenaran pada gambar 1(a). Dua gerbang
NOT dan empat gerbang AND membentuk
decoder 1 ke 4.
Decoder ini hanya mempunyai 1
output high berdasarkan nilai biner input A
dan B seperti tampak pada table kebenaran
pada
gambar
1(b).
Gambar
1(c)
memperlihatkan bagaimana rangkaian ini
dapat dibuat pada protoboard. Begitu
selesai, switch harus terhubung ke input dan
LED pada output sehingga rangkaian dapat
test untuk melihat logika table kebenaran
sudah terpenuhi. Metoda logika standar
dengan prototype mempunyai kerugian:
ISSN : 1858-3709
Pemotongan dan penyusunan kabel
membutuhkan waktu yang banyak
Kesalahan pemasangan kabel sangat
tinggi
sehingga
menyebabkan
kerusakan peralatan dan lamanya delay
ketika terjadi kesalahan
Tingginya Biaya
Jika IC yang dibutuhkan tidak tersedia,
maka delay yang dihasilkan akan lebih
lama
Untuk memodifikasi dan menambah
rangkaian, kabel dan IC biasanya akan
dilepas dari protoboard dan rancangan
baru dibuat mulai dari rancangan awal.
Seperti halnya industri, hal ini
akan membuat frustasi begitu harus
membuat rangkaian lab experiment dengan
tangan dan akan lebih baik menggunakan
alternative baru.
Gambar 1. Konstruksi rangkaian SLD
Dengan menggunakan PC yang
tidak begitu mahal, program software dan
IC PLD, prototype rangkaian digital dapat
dibuat dengan mudah. Gambar 2(a) dan (b)
menunjukkan
tabel
kebenaran
dan
rangkaian aplikasi yang sama dari gambar
2, tapi untuk tujuan ini PLD digunakan
untuk membuat rangkaian seperti yang ada
pada gambar 2(c).
Single PLD terdiri dari gerbang
logika dalam jumlah yang banyak,
termasuk device penghubung dimana
semua device ini berada dalam satu IC.
Dengan menggunakan PC, logika program
dapat dibuat dengan HDL menggunakan
text editor atau gambar sederhana dari
logika rangkaian dengan menggunakan
23
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
schematic editor. HDL atau schematic
selanjutnya decompile dengan program
untuk membuat detail dari rangkaian logika
yang akan menghasilkan output dari
rancangan yang yang telah dibuat pada
HDL atau program. Operasi dari rangkaian
ini selanjutnya dapat disimulasikan untuk
meyakinkan bahwa output sesuai dengan
yang diinginkan. Jika simulasi rangkaian
telah sesuai, maka desain selanjutnya
didownload melalui parallel port ke PLD.
Switch dihubungkan ke input dan LED ke
output untuk mencoba test akhir pada
prototype. Ada 5 langkah yang dibutuhkan
membuat prototype menggunakan PLD :
Step 1: Buat rangkaian baru menggunakan
schematic editor pada software
Step 2: Compile rangkaian menjadi
bitstream file(file.jed), ketika file ini diload
ke PLD akan menghasilkan output yang
sesuai dengan program diberikan.
Step 3: Buktikan operasi dari rangkaian
dengan menggunakan software fungtional
dan timing simulator(NOVA)
Step 4: Download file rangkaian daei PC ke
PLD
Step 5: Test PLD secara pisik dengan
mengaktifkan input dan melihat output
yang dihasilkan
Metode pembuatan programmable logic
dengan
prototype
ini
mempunyai
keuntungan :
Dengan pengurangan penggunaan
kabel seminim mungkin, prototype
dapat dibuat, ditest dan dimodifikasi
secepat mungkin
Kesalahan dalam penggunaan kabel
dapat dihindari
Experiment dengan berbagai type IC
digital dapat dilakukan tanpa harus
menyimpan type IC yang diperlukan
Rancangan rangkaian bisa disimpan
sebagai file electronic dalam PC dan
digunakan lagi begitu diperlukan.
Karena PLD dapat digunakan
berulang-ulang, modifikasi dapat
dilakukan
dengan
mengubah
rangkaian pada PC dengan mudah
dan
rancangan
baru
dapat
didownload lagi ke PLD
ISSN : 1858-3709
Project yang lebih besar dan
kompleks bisa dibuat sehingga
procedure
manual
yang
membosankan menjadi otomatis.
Gambar 2. Konstruksi rangkaian PLD
Salah satu contoh IC yang
menggunakan teknologi PLD adalah
IC22V10. IC 22V10 terdiri dari 24 Pin
Pin 12 berfungsi sebagai ground
Pin 24 berfungsi sebagai catu daya 5
volt.
Pin 1 berfungsi sebagai clock atau
input
Pin 2 sampai 13 (kecuali pin 12)
berfungsi sebagai input saja.
Pin 14 sampai Pin 23 berfungsi sebagai
input atau output.
METODOLOGI
MOTOR
KONTROL MOTOR PORTAL
(PLD)
PENDETEKSI PORTAL
TERTUTUP
DISPLAY 7
SEGMENT
COUNTER KOIN (PLD)
PENDETEKSI PORTAL
TERBUKA
RESET
PENDETEKSI KOIN
24
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
Gambar 3. Blok Diagram Sistem
1. Pendeteksi koin yang terdiri dari
Infrared dan Photodioda berfungsi
sebagai pendeteksi koin.
2. Counter koin yang menggunakan IC
PAL 22V10 (counter, seven segment,
led) berfungsi sebagai
penghitung
(counter)
jumlah
koin
yang
dimasukkan, display angka decimal
hitungan koin yang dimasukkan dan
display output binernya.
3. Reset berfungsi untuk menstop motor
dan mereset bagian penghitung koin.
4. Pendeteksi portal tertutup berupa limit
switch yang berfungsi memberikan
inputan ke control motor bahwa portal
tertutup.
5. Pendeteksi portal terbuka berupa limt
swith yang berfungsi memberikan
inputan ke control motor bahwa portasl
terbuka.
6. Kontrol motor portal menggunakan IC
PAL 22V10 berfungsi menerima input
data
biner
penghitungan
koin,
pendeteksi portal tertutup dan terbuka,
dan mengendalikan arah putaran motor
DC.
7. Motor DC berfungsi untuk membuka
dan menutup pintu portal.
Prinsip Kerja Alat
Prinsip kerja dari pintu portal ini
adalah pertama-tama masukan 3 buah koin
Rp. 1000,00. Setiap koin yang dimasukan,
maka bagian counter akan menghitung.
Untuk koin yang pertama angka yang
tampil pada display counter angka 1 dan
data biner 001, untuk koin yang kedua
angka yang tampil pada display counter
angka 2 dan data biner 010, Untuk koin
yang ketiga angka yang tampil pada display
counter angka 3 dan data biner 011. Data
biner dari penghitung counter tadi
dimasukan kebagian control motor portal.
Pada saat data biner 011 maka motor
penggerak pintu portal akan on sehingga
portal terbuka. Jika pada bagian pendeteksi
motor masih kondisi off maka motor
penggerak portal akan tetap on. Jika bagian
pendeteksi portal telah on maka motor
ISSN : 1858-3709
penggerak portal akan off. Setelah pintu
portal terbuka maka bagian reset akan
mendeteksi apakah sudah on atau off. Jika
kondisi off maka pintu portal akan tetap
tertutup. Jika kondisi on maka motor
penggerak akan on sehingga pintu portal
akan tertutup dan bagian counter akan akan
tereset. Setelah kondisi ini maka maka pada
bagian pendeteksi pintu portal tertutup akan
mengecek apakah sudah kondisi on atau
off. Jika kondisi off maka motor penggerak
portal akan terus aktif dan jika sudah
kondisi on maka motor penggerak portal
akan off.
Perancangan dan Pembuatan Mekanik
Gambar 4. Pintu Portal
Perancangan dan Pembuatan Perangkat
Keras:
a. Pendeteksi Koin
Sistem sensor pada photodiode
digunakan
sebagai
inputan
untuk
mendeteksi jumlah koin yang masuk.
Rangkaian
ini
berfungsi
sebagai penghitung jumlah koin yang
masuk dan bekerja dengan logika 0 dan1.
Saat koin tidak melewati sensor tersebut
maka akan berlogika 1, dan jika saat koin
melewati sensor maka akan bernilai 0. Saat
koin melewati sensor koin tersebut akan
terhitung dan akan ditampilkan pada seven
segment
jumlah koin
yang
telah
dimasukkan.
Gambar 5. Skema Rangkaian Sensor
b. Counter Koin
Rangkaian
seven
segment
difungsikan sebagai penampil jumlah
minuman yang masuk. Pada system ini kita
25
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
menggunakan seven
anoda.
segment
common
Gambar 6. Rangkaian Seven Segment
Dalam rangkaian seven segment
diatas terdapat terdapat inputan, proses dan
output. Inputan diambil dari sensor, ketika
sensor mendeteksi koin maka akan diproses
didalam IC PAL 22V10 yang akan
ditampilkan di seven segment sebagai
penghitung jumlah koin yang masuk. Data
yang diproses akan dikirim ke driver
control motor dalam bentuk biner.
c. Rangkaian Driver Motor DC
Driver motor DC adalah driver
motor DC tipe H-Bridge menggunakan
power driver berupa transistor. Rangkaian
driver motor DC H-Bridge transistor ini
dapat mengendalikan arah putaran motor
DC dalam 2 arah dan dapat dikontrol
dengan metode sinyal logika dasar TTL
(High) dan (Low). Apabila menggunakan
metode logika TTL 0 dan 1 maka rangkaian
ini hanya dapat mengendalikan arah putaran
motor DC saja dengan kecepatan putaran
motor DC maksimum. Rangkaian driver
motor DC H-Bridge ini menggunakan
rangkaian jembatan transistor 4 unit dengan
protesi impuls tegangan induksi motor DC
berupa dioda yang dipasang paralel dengan
masing-masing transistor secara reverse
bias. Rangkaian driver motor DC secara
detil dapat dilihat pada gambar berikut.
ISSN : 1858-3709
beberapa bagian sebagai berikut : Driver
Motor DC dengan metode logika TTL (0
dan 1) atau High dan Low hanya dapat
mengendalikan arah putar motor DC dalam
2 arah tanpa pengendalian kecepatan
putaran (kepatan maksimum). untuk
mengendalikan motor DC dalam 2 arah
dengan rangkaian driver motor dc h-bridge
diatas konfiguarasi kontrol pada jalur input
adalah dengan memberikan input berupa
logika TTL ke jalur input A dan B.
•
•
Untuk mengendalikan arah putar searah
jarum jam adalah dengan memberikan
logika TTL 1 (high) pada jalur input A
dan logika TTL 0 (low) pada jalur
input B.
Untuk mengendalikan arah putar
berlawanan arah jarum jam adalah
dengan memberikan logika TTL 1
(high) pada jalur input B dan logika
TTL 0 (low) pada jalur input A.
Driver motor ini berfungsi untuk
mengendalikan arah putaran motor dc,
sesuai dengan yang diperintahkan oleh
bagian control motor yaitu dapat berputar
searah jarum jam dan berlawanan arah
jarum jam.
d. Rangkaian Reset, Pendeteksi Portal
Tertutup dan Terbuka
Pada bagian ini Limit Switch
berfungsi untuk mereset bagian penghitung
koin, untuk mengonkan motor sehingga
pintu portal terbuka dan mengoffkan motor
sehingga pintu portal tertutup.
Gambar 8. Rangkaian Pendeteksi Portal
Terbuka dan Tertutup dan Reset
Gambar 7. Rangkaian Driver motor DC
Proses
mengendalikan
motor
DC
menggunakan rangkaian driver motor DC
H-Bridge diatas dapat diuraikan dalam
Perancangan dan Pembuatan Software
Perangkat software yang dirancang
untuk sistim ini adalah perangkat lunak
untuk
menjalankan
sistim
secara
keseluruhan, software ini dirancang dengan
menggunakan bahasa Warp 4.2.
a. Decoder Biner ke Desimal dengan
Tampilan Seven Segment
26
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
Architectuire behavioral of counter7segment is
Begin
Counter: process (clk,reset)
begin
If reset=’0’ then
q<=’000’;
elsif(clk’event and
clk=’1’)then
q<=q + 1;
end if;
end process counter;
tujuhsegment: process(q,reset)
begin
if reset=’0’then
d<="1111111";
else
case q is
when"000"=>d<="1000000";
when"001"=>d<="1111001";
when"010"=>d<="0100100";
when"011"=>d<="0110000";
when"100"=>d<="0011001";
when"101"=>d<="0010010";
when others=>d<="1111111";end case;
end if;
end process tujuhsegment;
end behavioral;
b. Program Kontrol Motor
architecture behavioral of motor is
begin
Process(s)
begin
case s is
when"000101"=>m<="00";
when"011101"=>m<="01";
when"011100"=>m<="01";
when"011110"=>m<="00";
when"000010"=>m<="10";
when"000100"=>m<="10";
when others=>m<="00";
end case;
end process;
end behavioral;
Cara Mengoperasikan Alat
1. Masukan koin Rp 1000,00 sebanyak 3
buah maka pada display counter akan
berhitung dari 1 sampai 3.
2. Setelah koin dimasukkan 3 buah maka
pintu portal akan terbuka.
3. Saat bagian reset on maka pintu portal
akan tertutup dan display pada bagian
counter akan kembali “0”
HASIL
a. Pendeteksi Koin
Rangkaian ini berfungsi sebagai
penghitung koin yang dimasukkan pada
rangkaian pendeteksi koin. Pengukuran
ISSN : 1858-3709
rangkaian ini dilakukan untuk mengetahui
tegangan output dari photodioda saat
menerima cahaya dan saat tidak
mendapatkan cahaya dari infrared. Ketika
photodioda menerima cahaya dari infrared
maka akan tegangan output dari rangkaian
pendeteksi koin yang terukur adalah 3,9V.
Hal ini disebabkan karena photodiode
menerima sinar dari inframerah sehingga
intensitas cahaya yang diterima oleh
photodiode semakin besar sehingga arus
yang mengalir pada photodioda menjadi
besar dan hambatan menjadi kecil sehingga
menyebabkan tegangan output pada
rangkaian pendeteksi koin menjadi besar.
Saat koin di dimasukkan atau
menghalangi cahaya yang datang ke
photodioda, maka tegangan output dari
rangkaian pendeteksi koin yang terukur
adalah 0 V. Hal ini disebabkan karena
photodiode
tidak
menerima
sinar
inframerah sehingga intensitas cahaya yang
diterima oleh photodiode menjadi tidak ada
sehingga arus yang mengalir pada
photodioda menjadi kecil dan hambatan
menjadi besar sehingga menyebabkan
tegangan output pada rangkaian pendeteksi
koin menjadi kecil.
Saat tidak ada koin yang dimasukkan
maka output rangkaian ini akan berlogika 0.
Saat ada koin yang dimasukkan maka
output rangkaian ini akan berlogika 1.
Logika 0 dan logika 1 dari output rangkaian
pendeteksi koin inilah yang
akan
diinputkan ke rangkaian decoder biner ke
decimal dengan tampilan sevensegment
sebagai pulsa untuk menghitung koin
(counter) yang dimasukkan. Jumlah koin
yang akan diteksi oleh rangkaian ini adalah
sebanyak 3 buah koin Rp 1000,00.
b. Pengujian dan Analisa Rangkaian
Decoder Biner ke Desimal dengan
Tampilan
Sevensegment
(Counter)
Menggunakan Teknologi PLD
Rangkaian ini dirancang dan dibuat
untuk menampilkan bilangan desimal dari 0
sampai dengan 3 menggunakan 7segment
beserta data biner dari bilangan decimal
tersebut menggunakan led. Hasil dari
27
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
program decoder biner ke desimal yang
dibuat kemudian disimulasikan dengan
NOVA untuk menguji kebenaran dari
logika yang dihasilkan. Jika hasil dari
simulasi telah sesuai dengan yang
diinginkan
maka
program
tadi
didownloadkan ke IC GAL 22V10. Hasil
simulasi dengan NOVA decoder biner ke
decimal dengan tampilan sevent segment
dan led (Counter) menggunakan teknologi
PLD dapat dilihat pada gambar berikut:
Gambar 9. Hasil simulasi decoder biner ke
desimal dengan tampilan 7 segment
Setiap koin yang dideteksi oleh
rangkaian pendeteksi koin, maka pada
bagian rangkaian counter akan menghitung
koin tersebut yang output desimalnya akan
ditampilkan pada 7segment dan output
binernya akan ditampilkan pada led. Untuk
koin yang pertama angka yang tampil pada
display counter (7segmant) angka 1 dan
data biner “001”. Untuk koin yang kedua
angka yang tampil pada display counter
angka 2 dan data biner “010”. Untuk koin
yang ketiga angka yang tampil pada display
counter angka 3 dan data biner “011”.
Input berupa pulsa dari rangkaian
pendeteksi koin untuk
rangkaian ini
dihubungkan dengan pin 1 pada IC22V10.
Untuk output decimal dihubungkan dengan
pin 17 untuk led a, pin 18 untuk led b, pin
19 untuk led c, pin19 untuk led d, pin20
untuk led e, pin 22 untuk led f, pin 23 untuk
led g. Dari hasil pengukuran pada saat led
a,b,c,d,e,f,g berlogika 0 maka tegangan
output lednya sebesar 0V. Pada saat led
a,b,c,d,e,f,g berlogika 1 maka tegangan
output lednya sebesar 3,6V. Untuk output
biner ditampilkan pada led dengan
konfigurasi pin 14 untuk Q0, pin 15 untuk
ISSN : 1858-3709
Q1 dan pin 16 untuk Q2. Dari hasil
pengukuran pada saat Q0,Q1,Q2 berlogika
0 maka tegangan output lednya sebesar 0V.
Pada saat Q0,Q1,Q2 berlogika 1 maka
tegangan output lednya sebesar 2,5V.
Tabel 1 Hasil pengujian output perangkat
keras decoder biner ke desimal
Untuk awal, data biner “000” maka
bilangan desimal yang aktif adalah “0”
dan segmen yang aktif pada sevensegment
adalah a, b, c, d, e, f. Untuk pulsa pertama
dengan data biner “001” maka bilangan
desimal yang tampil adalah “1”, dan
segmen yang aktif pada sevensegment
adalah b, c saja, Untuk pulsa kedua dengan
data biner “010” maka bilangan desimal
yang tampil “2”, dan segment yang aktif
pada seventsegment adalah a, b, d, e, g.
Untuk pulsa ketiga dengan data biner
“011” maka bilangan desimal yang
tampil adalah “3”, maka segment yang
aktif pada seventsegment adalah a, b, c, d,
g.
c. Pengujian dan Analisa Rangkaian
Kontrol Motor
Rangkaian ini dirancang dan dibuat
untuk membuka dan menutup pintu portal
serta untuk mereset bagian counter. Hasil
dari program control motor yang dibuat
kemudian disimulasikan dengan NOVA
untuk menguji kebenaran dari logika yang
dihasilkan. Jika hasil dari simulasi telah
sesuai dengan yang diinginkan maka
program tadi didownloadkan ke IC GAL
22V10.
28
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
ISSN : 1858-3709
Gambar 10. Kondisi saat pintu portal tertutup
Gambar 14 Kondisi saat bagian reset aktif dan
bagian counter kembali 0 serta motor on
Gambar 11. Kondisi
saat
koin
sudah
dimasukkan sebanyak 3 buah dan motor on
sehingga pintu portal mulai terbuka
Gambar 15 Kondisi saat pintu portal sedang
menutup dan motor masih on
Tabel 2 Logika untuk control motor
Gambar 12. Kondisi saat pintu portal sudah
terbuka dan motor on
Gambar 13. Kondisi saat pintu portal sudah
terbuka dan motor off
S5
(C2)
S4
(C1)
0
0
0
0
0
0
0
1
1
1
0
0
S3
(C
0)
0
1
1
1
0
0
S2
(Res
et)
1
1
1
1
0
1
S1
(Buk
a)
0
0
0
1
1
0
S0
(Tut
up)
1
1
0
0
0
0
M
1
M
2
0
0
0
0
1
1
0
1
1
0
0
0
Pada saat limit switch pendeteksi
pintu portal tertutup (S0) berlogika 1
dengan tegangan input ke bagian control
motor sebesar 4,9V dan limit switch reset
(S2) berlogika 1 dengan tegangan input ke
bagian control motor sebesar 4,9V sehingga
kondisi motor akan off dan bagian counter
berlogika 000.
Pada saat pada bagian counter
memberikan data input biner 011 ke bagian
control motor maka motor akan on (motor
berputar searah jarum jam) sehingga pintu
portal akan tebuka sehingga S0 akan
berlogika 0 dan bagian pendeteksi pintu
portal terbuka (S1) akan berlogika 1 dengan
tegangan input ke bagian control motor
sebesar 4,9V dan bagian reset (S2) masih
berlogika 1.
29
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
Pada saat bagian reset berlogika 0
dengan tegangan input ke bagian control
motor sebesar 0V maka pintu portal akan
tertutup (motor berputar berlawanan arah
jarum jam) sehingga S0 akan berlogika 1
dan S1 akan berlogika 0 serta bagian
counter akan berlogika 000.
d. Bagian Pendeteksi Pintu Portal Tertutup,
Terbuka dan Reset
Pada bagian ini Limit Switch berfungsi
untuk mereset bagian penghitung koin,
untuk mengonkan motor dc sehingga pintu
portal terbuka dan mengoffkan motor
sehingga pintu portal tertutup. Pada saat
terbuka besar tegangan output dari limit
switch sebesar 0 V dan saat terhubung
besar tegangan output dari limit switch
sebesar 4,9 V. Untuk reset saat terbuka
besar tegangan output dari limit switch
sebesar 4,9V dan saat terhubung besar
tegangan output dari limit switch sebesar
0V.
e. Bagian Diver Motor DC H-Brigde
Driver motor dc ini berfungsi untuk
mengendalikan arah putaran motor dc,
sesuai dengan yang diperintahkan oleh
bagian control motor yaitu dapat berputar
searah jarum jam dan berlawanan arah
jarum jam. Untuk mengendalikan arah putar
searah jarum jam adalah dengan
memberikan logika 1 (2,5V) pada jalur
input A dan logika 0 (0V) pada jalur input
B. Untuk mengendalikan arah putar
berlawanan arah jarum jam adalah dengan
memberikan logika 1 (2,5V) pada jalur
input B dan logika 0 (0V) pada jalur input
A.
SIMPULAN
1. Sensor photodioda dan infrared dapat
difungsikan sebagai pendeteksi koin.
Ketika photodioda menerima cahaya dari
infra red maka tegangan output yang
didapat 3,9 V. Saat koin di dimasukkan
atau menghalangi cahaya yang datang ke
photodioda, maka tegangan output yang
didapat 0 V.
2. Teknologi Programmable Logic Device
(PLD) dapat diaplikasikan sebagai
ISSN : 1858-3709
decoder biner ke decimal dengan sevent
segment untuk display penghitung koin.
3. Limit switch dapat diaplikasikan untuk
mengonkan, mengoffkan motor dc dan
mereset counter penghitung koin.
4. Motor DC dapat diaplikasikan untuk
membuka dan menutup pintu portal
dengan mengatur arah putaran motor dc
searah jarum jam dan berlawanan arah
jarum jam menggunakan driver HBrigde.
DAFTAR PUSTAKA
Ariawan , Debi. Sistem Parkir Elektrik.
Fahmi, Amrizal. (2010). Rancang Bangun
Sistem Parkir Otomatis Menggunakan
ALLEN BRADLEY PLC 5/40.
Institut
Teknologi
Sepuluh
November.
Kevin Skahill., VHDL for Programmable
Logic, Addison Wesley 1997
M.Irmansyah, Jurnal Gerbang Logika
Berbasis PLD 2009 Vol. 2 No.1
Elektron
M.Irmansyah, Jurnal Multiplekser Berbasis
PLD 2009 Vol. 1 No.2 Elektron
M.Irmansyah, Jurnal Decoder Desimal
Berbasis PLD 2010 Vol. 1 No.1
Elektron
Neil H.E. Weste , CMOS VLSI Design,
Addison Wesley 2005
Nigel
P.
Cook,
Practical Digital
Electronics, Prentice Hall 2004
Nata, Suci. (2007). Rancang Bangun Sistem
Parkir Otomatis Menggunakan Sensor
LDR
Berbazis
Mikrokontroler,
UNDIP.
Stephen Brown, Digital logic of
Fundamentals With VHDL Design,
McGraw-Hill 2000
30
POLI REKAYASA Volume 9, Nomor 1, Oktober 2013
ISSN : 1858-3709
Siregar, Juhendra. (2010). Perancangan dan
Pembuatan Sistem Parkir Otomatis
Menggunakan
Koin
Berbasis
Mikrokontroler AT89S52 Secara
Software.
Thiang. (2008). Kontrol Parkir Mobil
Otomatis
dengan
Menggunakan
Programmable Logic Controller.
Universitas Kristen Petra.
Winarsih, Irda. (2009). Sistem Parkir
Otomatis
Menggunakan
RFID
Berbasiskan
Mikrokontroler
AT89S51. Universitas Trisakti.
31
Download