BAB I. PENDAHULUAN 1.1 Latar Belakang Suatu hal yang perlu disadari saat ini adalah bahwa penggunaan mikroprosessor semakin luas, tidak lagi menjadi sebatas “otak” dari komputer tetapi sudah menjadi komponen utama dari hampir seluruh piranti elektronika mulai dari perangkat telekomunikasi sampai dengan peralatan rumah tangga. Penggunaan mikroprosessor pada perangkat elektronika diantaranya adalah Programmable Digital Thermostat (mikroprosessor 4-bit), dishwasher (mikroprosessor 8-bit Motorola 68HC05), mesin jual otomatis – vending machine (mikroprosessor 8-bit Motorola 68HC11), Palm Vx handheld (mikroprosessor 32-bit Motorola Dragonball EZ) dan DVD Player (mikroprosessor 32-bit RISC)[1]. Pada komputer generasi lama, unit-unit CPU (Central Processing Unit), memori dan unit I/O (input/output) dapat memenuhi sebuah ruangan. Komputer elektronik yang pertaman dikembangkan (1951) bernama ENIAC (Electronic Numerical Integrator and Computer) adalah sebuah “raksasa” 18.000 tabung hampa (vacuum tubes) yang memenuhi ruangan seluas 1500 sq.ft (sekitar 150 meter persegi) di University of Pennsylvania di Philadelphia, Amerika Serikat (ketika peralatan ini pertama kali dihidupkan, tegangan listrik di kota Philadelphia turun, sehingga sempat meredupkan nyala lampu[7]). Dengan kemajuan teknologi rangkaian terpadu (integrated circuit) ukuran unit-unit CPU, memori dan I/O mengalami penyusutan yang dramatis. Saat ini CPU dapat difabrikasi pada satu chip semikonduktor tunggal yang disebut mikroprosessor. Dengan kata lain sebuah mikroprosessor adalah merupakan sebuah CPU dalam satu chip. Demikian juga rangkaian I/O dan memori dapat dikemas pada suatu chip. Melalui teknik ini, komputer yang dulunya berukuran sebesar ruangan kini dapat diperkecil menjadi bentuk PC (personal computer), laptop bahkan menjadi sekecil palm computer. 1 1.2 Rumusan Permasalahan Terdapat tiga permasalahan utama yang akan muncul di dalam perancangan dan implementasi suatu mikroprosessor. Ketiga permasalahan yang akan dihadapi tersebut adalah: 1. Kompleksitas dan kerumitan suatu mikroprosessor. Mikroprosessor adalah suatu CPU yang dikemas dalam satu chip semikonduktor tunggal yang pada dasarnya terdiri atas ribuan transistor dan gerbang logika yang dirangkai menjadi satu rangkaian digital yang terintegrasi untuk melakukan fungsi-fungsi pengendalian (unit kendali) dan untuk mengeksekusi ribuan instruksi untuk operasi ALU. Untuk itu dibutuhkan suatu arsitektur yang tepat dan sederhana untuk mengatasi tingkat kompleksitas dan kerumitan suatu mikroprosessor. 2. Implementasi dengan tingkat kesulitan yang tinggi Mikroprosessor secara fisik adalah suatu rangkaian dari komponenkomponen yang berukuran mikro sehingga untuk mewujudkan rangkaian tersebut dibutuhkan ketelitian dan peralatan teknologi yang mutakhir untuk merangkai, menganalisis dan memeriksa rangkaian komponen- komponen tersebut. Hal ini akan mendatangkan kesulitan tersendiri sehingga diperlukan suatu alternatif implementasi yang dapat mengurangi tingkat kesulitan tersebut. 3. Kinerja mikroprosessor Kinerja mikroprosessor akan diukur dari kecepatan mikroprosessor didalam mengeksekusi suatu program komputer yang terdiri atas ribuan bahkan jutaan instruksi. Dalam hal ini yang diperlukan adalah suatu mekanisme pengkodean ALU yang dapat meningkatkan kecepatan mikroprosessor. Dengan mempertimbangkan masalah-masalah tersebut di atas maka pada penelitian ini akan dipilih dan digunakan teknologi yang diperkirakan dapat mengatasi masalah-masalah yang akan terjadi seperti: 2 1. Penggunaan arsitektur RISC (Reduced Instruction Set Computer) yang diharapkan dapat mengurangi tingkat kompleksitas dan kerumitan mikroprosessor. 2. Penggunaan kode program (soft code) didalam mengimplementasikan unit-unit penyusun mikroprosessor. Metode ini diharapkan lebih mudah dibandingkan dengan implementasi secara fisik dan akan menjadi nilai tambah/keunggulan tersindiri bagi prototipe jika dibandingkan dengan mikroprosessor yang dibuat dengan cara konvensional. Bahasa pemrograman yang akan digunakan adalah VHDL (Very High Speed Integrated Circuit Hardware Description Language). 3. Penggunaan instruksi MIPS (Million Instructions Per Second) pada ALU untuk meningkatkan kinerja/kecepatan mikroprosessor. 1.3 Tujuan Penelitian Tujuan penelitian dibagi atas dua yaitu tujuan jangka pendek dan tujuan jangka panjang. o Tujuan Jangka Pendek Dalam jangka pendek, penelitian ini bertujuan untuk merancang dan membuat kode program (soft code) mikroprosessor standar yang dengan mudah dapat dimodifikasi dan dikembangkan untuk tujuan-tujuan tertentu serta dapat di masukkan (burned) ke dalam chip (perangkat keras) mikroprosessor untuk selanjutnya digunakan pada aplikasi elektronika di berbagai bidang. o Tujuan Jangka Panjang Bila penelitian ini sukses dan berhasil maka dalam jangka panjang dapat dibuat berbagai macam mikroprosessor yang dapat digunakan pada berbagai bidang aplikasi seperti bidang elektronika dan komputer, bidang ekonomi (e-commerce), bidang pertanian (seperti peralatan-peralatan pengolahan hasil pertanian), bidang kedokteran dan bidang-bidang lainnya. Pada prinsipnya prototipe mikroprosessor yang dihasilkan dapat dimodifikasi 3 dengan mudah mengingat unit-unit fungsi penyusun mikroprosessor dibuat dengan mekanisme kode program (soft code). 1.4 Manfaat Penelitian Manfaat yang akan langsung dirasakan dari hasil penelitian ini adalah: Tersedianya model mikroprosessor yang dapat digunakan sebagai alat bantu untuk memahami dan mempelajari mikroprosessor (a teaching aid microprosessor) dengan pendekatan praktis. Prototipe ini sangat cocok untuk dijadikan sebagai bahan eksperimen bagi mahasiswa dan orangorang yang tertarik untuk mempelajari mikroprosessor dengan kelebihan sebagai berikut: • Memiliki arsitektur yang tidak rumit sehingga mudah dipelajari. • Implementasi dengan kode program yang dengan mudah dapat dimodifikasi dan diuji hanya dengan menggunanakan program simulasi sehingga tidak menimbulkan resiko kerusakan chip mikroprosessor. Tersedianya prototipe mikroprosessor yang dapat dimodifikasi dan dikembangkan lebih lanjut menjadi mikroprosessor–mikroprosessor aplikasi khusus pada berbagai bidang. Hal ini akan semakin memperluas penggunaan mikroprosessor pada berbagai aspek kehidupan manusia sehingga semakin banyak orang yang dapat merasakan manfaat dari peralatan-peralatan yang menggunakan mikroprosessor (manfaat jangka panjang). 4 BAB II. TINJAUAN PUSTAKA Penelitian mikroprosessor mulai difokuskan pada penggunaan mikroprosessor di berbagai bidang seperti bidang pertanian[2], industri elektronika[8], sistem kendali otomatis[12], dan bidang-bidang lainnya. Mikroprosessor umumnya dibedakan berdasarkan tingkat kerumitan arsitekturnya (instruction set). Berdasarkan kriteria ini maka mikroprosessor dapat dibagi menjadi dua jenis yaitu CISC (Complex Instruction Set Computer) dan RISC (Reduced Instruction Set Computer). o CISC Komputer-komputer zaman dahulu memiliki instruksi yang sederhana dan dalam jumlah yang kecil. Sejalan dengan meningkatnya fungsi-fungsi yang dimiliki oleh komputer, maka jumlah instruksi yang harus dijalankan juga semakin bertambah. Hal yang sama terjadi pada pengembangan mikroprosessor CISC. Setiap instruksi yang baru, akan ditambahkan ke instruction set dengan menggunakan microprogramming. Sebagai akibatnya instruction set akan semakin kompleks dengan semakin bertambahnya jumlah instruksi yang ada di dalamnya. Eksekusi instruksi-instruksi yang rumit dilakukan dengan menggunakan interpreter. Dengan interpreter, instruksi-instruksi yang lebih kompleks masih dapat dijalankan karena pelaksanaan operasi-operasi individu kadangkadang dapat ditumpangtindihkan atau dapat juga diparalelkan dengan menggunakan perangkat keras yang berbeda meskipun perlu didukung dengan teknologi berkinerja tinggi. Namun seiring dengan semakin kompleksnya instruction set, beberapa masalah mulai timbul seperti kesulitan di dalam mengkodekan setiap instruksi, kecepatan mikroprosessor mejadi lambat dan kesulitan di dalam pipelining yaitu suatu teknik untuk meningkatkan kinerja mikroprosessor. 5 Salah satu jenis komputer yang menggunakan mikroprosessor CISC adalah komputer VAX buatan DEC (Digital Equipment Corporation), yang memiliki beberapa ratus instruksi, dan lebih dari 200 cara berbeda untuk mengkodekan operand-operand yang akan digunakan dalam setiap instruksi. Tetapi karena tidak didukung oleh teknologi berkinerja tinggi maka sejumlah besar instruksi kurang begitu bermanfaat yang pada akhirnya sulit dijalankan secara langsung. . o RISC Karena semakin kompleksnya mikroprosessor CISC, upaya untuk menciptakan suatu mikroprosessor berkecepatan tinggi tetapi dengan instruction set yang sederhana mulai dikembangkan. Ide dasar pengembangan mikroprosessor RISC adalah ditemukannya fakta bahwa 20% instruksi mampu menjalankan 80% pekerjaan[4]. Pada awal pengembangan mikroprosessor RISC, jumlah instruksi yang terdapat pada instruction set sekitar 50, jauh lebih kecil dibandingan jumlah 200 hingga 300 pada komputer-komputer tertentu seperti DEC VAX (mikroprosessor CISC). Beberapa karakteristis penting yang dimiliki oleh mikroprosessor RISC adalah: 1. Memiliki instruction set yang sederhana dengan jumlah instruksi yang sedikit. 2. Hanya dua atau tiga mode pengalamatan (addressing mode) dan panjang bit-bit kode setiap instruksi sama sehingga lebih mudah dikodekan dan waktu yang dibutuhkan untuk mengeksekusi setiap instruksi relatif sama. 3. Menggunakan arsitektur load-store dan semua operasi aritmetika dan logika dikerjakan pada register sehingga kecepatan eksekusi instruksi menjadi lebih tinggi. 4. Hampir semua instruksi dijalankan dengan 1 clock cycle Salah satu kelebihan utama mikroprosessor RISC dibandingkan dengan CISC adalah kemampuannya untuk mengeksekusi program yang relatif lebih 6 cepat. Hal ini disebabkan karena kecepatan clock pada mikroprosessor RISC lebih tinggi dari pada mikroprosessor CISC mengingat kesederhanaan pada setiap instruksi RISC. Hal lain yang menyebakan eksekusi program dengan mikroprosessor RISC lebih cepat adalah penggunaan compiler (bukan interpreter) dimana compiler tidak menggunakan semua instruksi yang ada pada mikroprosessor CISC dalam mengeksekusi suatu program. Beberapa mesin/komputer yang menggunakan mikroprosessor RISC adalah MIPS, IBM PowerPC dan DEC Alpha. Berdasarkan uraian di atas maka pada penelitian ini akan dibuat suatu mikroprosessor dengan arsitektur RISC tetapi diimplementasikan dengan cara baru yaitu dengan menggunakan bahasa pemrograman VHDL dengan memanfaatkan perangkat lunak MAX+PLUS II. BAB III. METODE PENELITIAN Ada lima tahapan yang akan dikerjakan pada penelitian ini yaitu inisialisasi, perancangan, pengkodean/implementasi, dan pengujian. • Inisialisasi Tahapan inisialisasi dilakukan untuk menentukan spesifikasi dari protipe yang akan dihasilkan. Spesifikasi suatu perangkat elektronika termasuk mikroprosessor menunjukkan fungsi-fungsi yang terdapat pada perangkat tersebut. Untuk mencapai tujuan yang telah ditetapkan (sub bab 1.3), maka pada prototipe ini akan ditetapkan spesifikasi sebagai berikut: Tabel 3.1. Spesifikasi prototipe Uraiaan Arsitektur Panjang instruksi Instruksi aritmetika dan logika Datapath ROM intruksi RAM data Port masukan Port keluaran Spesifikasi load-store RISC 16 bit 3 operand 8 bit 256x16 256x8 2x8 2x8 7 • Perancangan Karena mikroporosessor adalah suatu sistem digital maka perancangan prototipe ini akan mengikuti perancangan sistem digital yang standar yang disebut dengan top-down digital system design. Prinsip-prinsip yang menjadi acuan pada perancangan ini adalah: 1. Semua instruksi secara langsung dijalankan oleh perangkat keras. 2. Memaksimalkan kecepatan dimana instruksi-instruksi dikeluarkan. 3. Instruksi-instruksi harus mudah untuk dikodekan. 4. Hanya instruksi-instruksi load dan store yang diakses ke memori 5. Menyiapkan banyak register. • Pengkodean/Implementasi Umumnya mikroprosessor dibuat dengan merangkai komponen- komponen fisik dari unit-unit penyusunnya, tetapi pada prototipe ini implementasi dilakukan dengan cara yang unik dimana mikroprosessor yang dihasilkan tidak akan menggunakan komponen fisik dari unit-unit tersebut. Jadi tidak terdapat rangkaian digital dan elektronik secara fisik sama sekali. Semua unit-unit yang menyusun suatu mikroprosessor akan diimplementasikan dengan kode program (soft code). Hal ini akan menjadi kelebihan tersendiri dari prototipe ini. Dengan sistem soft code pengembangan dan pengujian mikroprosessor dapat dilakukan dengan mudah yaitu dengan cara simulasi. Untuk mewujudkan implementasi ini maka akan digunakan teknologi baru dalam bahasa pemrograman yaitu VHDL (Very High Speed Integrated Circuit Hardware Description Language) bersama dengan program aplikasi MAX+PLUS II. • Pengujian Titik fokus pengujian dilakukan pada saat simulasi mengingat unit-unit fungsi penyusun mikroporsessor dibuat dengan kode program. Semua fasilitas pengujian yang terdapat pada Design Verification seperti MAX+PLUS II Simulator dan MAX+PLUS II Waveform Editor. 8 BAB IV. DESAIN DAN IMPLEMENTASI PROTOTIPE 4.1. Instruction Set Hal yang pertama dilakukan untuk mendesain suatu prosessor adalah menentukan instruksi-instruksi yang akan diimplementasikan. Prototipe ini didesain untuk memiliki 16 jenis instruksi. Masing-masing instruksi terdiri atas 16 bit, dimana empat bit digunakan untuk kode operasi (op code). Semua bit pada instruksi digunakan ketika instruksi R type dijalankan. Untuk instruksi jenis ini dibutuhkan 3 buah register alamat, 2 untuk source address dan 1 untuk destination address. Dengan demikian 16 bit instruksi terbagi menjadi 4 bit op code, 2 x 4 bit untuk source adress dan 4 bit destination address. Instruction set akan berisi beberapa instruksi antar lain: • ADD: instruksi untuk menjumlahkan isi dua buah register dan menyimpan hasilnya pada register yang lain. • SUB: instruksi untuk mengurangkan isi dua buah register dan menyimpan hasilnya pada register yang lain. • AND: instruksi untuk membandingkan isi dua buah register dengan logika AND dan hasilnya disimpan pada register yang lain. • OR: instruksi untuk membandingkan isi dua buah register dengan logika OR dan menyimpan hasilnya pada register yang lain. • SLT: Set Less Than, merapakan instruksi untuk membandingkan isi dua buah register, misalnya register A dan register B. Jika nilai register A kurang dari register B maka angka 1 disimpan pada suatu register tertentu. 9 4.2 Format Instruksi Masing-masing instruksi memiliki format tertentu dan pada prototipe ini terdapat beberapa jenis format yang digunakan diantaranya adalah Register Format (R-type), Data Transfer Format, Immediate Format (I-type), Memory Format, Branch Format, Jump Format dan I/O Format. Tabel 4.1 Register Format 4 BITS 4 BITS 4 BITS 4 BITS OPCODE REG SOURCE REG SOURCE REG Instruksi: ADD, SUB, AND, OR, SLT Tabel 4.2 Data Transfer Format 4 BITS 4 BITS 4 BITS 4 BITS OPCODE REG SOURCE XXXX REG Instruksi: MOV Tabel 4.3 Immediate Format 4 BITS 8 BITS 4 BITS OPCODE CONSTANT REG Instruksi: MVI Tabel 4.4 Memory Format untuk LD 4 BITS 4 BITS 4 BITS 4 BITS OPCODE REG[MEM XXXX REG 10 Tipe alamat: Register Indirect Instruksi: LD Tabel 4.5 Memory Format untuk ST. 4 BITS 4 BITS 4 BITS 4 BITS OPCODE REG[MEM REG SOURCE XXXX Instruksi: ST Tabel 4.6 Branch Format 4 BITS 4 BITS 4 BITS 4 BITS OPCODE REG SOURCE REG SOURCE REG[PC Tipe Alamat: Register Indirect Instruksi: JNE, JEQ Tabel 4.7 Jump Format 4 BITS 4 BITS OPCODE XXXX 8 BITS PC ADDRESS Tipe Alamat: Immediate Instruksi: JMP 11 Tabel 4.8 I/O Format IN 4 BITS 1 OPCODE 7 BITS 4 BITS XXXXXXX REG 7 BITS 4 BITS XXXXXXX REG SOURCE PORT Instruksi: IN Tabel 4.9 I/O Format OUT 4 BITS 1 OPCODE PORT Instruksi: OUT 4.3 ALU (Arithmetic Logic Unit) Prosessor data utama di dalam CPU (Central Processing Unit) adalah ALU dimana ALU melakukan operasi perhitungan secara matematis seperti pemjumlahan (ADD) dan operasi pengurangan (SUB). ALU juga melakukan operasi logika seperti AND, OR dan kombinasi dari Set on Less Than. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_signed.all; use ieee.std_logic_unsigned.all; --********************************************************************** 12 --** ENTITY ALU --** --** Description: This entity structually describes the ALU. --*********************************************************************** ENTITY ALU IS PORT(RegA, RegB, A_Immed, S_Immed : IN STD_LOGIC_VECTOR(15 DOWNTO 0); mvi_Immed : IN STD_LOGIC_VECTOR(7 DOWNTO 0); alu_sel : IN STD_LOGIC; operation : IN STD_LOGIC_VECTOR(3 DOWNTO 0); res_sel : IN STD_LOGIC_VECTOR(1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); overflow : OUT STD_LOGIC); END ENTITY ALU; ARCHITECTURE structural_ALU OF ALU IS --Declare Signals Needed SIGNAL reg_or_imm :STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL reg_or_simm :STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL alu_result : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL su_result : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL mvi_result : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL overflow_result : STD_LOGIC; BEGIN --******************************************************************** --**** Selector --**** This selector is used to select between the register --**** and the immediate option. --******************************************************************** select1 : work.selector PORT MAP(RegB, A_Immed, alu_sel, reg_or_imm); --******************************************************************* --**** Selector --**** This selector is used to select between the register --**** and the shift immediate option. --******************************************************************** select2 : work.selector PORT MAP(RegB, S_Immed, alu_sel, reg_or_simm); --******************************************************************* --**** Basic ALU RESULT --**** This PORT MAP shows how the basic alu is 13 --**** connected to the rest of the advanced ALU. --****************************************************************** result1 : work.alu_16 PORT MAP(RegA, reg_or_imm, operation, overflow_result, alu_result); --******************************************************************* --**** Shift Unit RESULT --**** This PORT MAP shows how the shift unit is --**** connected to the rest of the advanced ALU. --****************************************************************** result2 : work.shift_16 PORT MAP(RegA, reg_or_simm, operation (1 downto 0), su_result); --****************************************************************** --**** Move Immediate RESULT --**** This PORT MAP shows how the move immediate --**** unit is connected to the rest of the advanced ALU. --****************************************************************** result3 : work.mvibox PORT MAP(RegA(7 downto 0), mvi_Immed, operation(0), mvi_result); --****************************************************************** --**** Final Result Selector --**** This PORT MAP is used to select the final result --**** that comes from the advanced ALU. It selects either --**** the move immediate, shift or basic alu result. --****************************************************************** Final_Results : work.alu_mux PORT MAP(alu_result, su_result, mvi_result, overflow_result, res_sel, result, overflow); END ARCHITECTURE structural_alu; 14 4.4 Register File Register file adalah sebuah unit memori yang digunakan untuk menyimpan sementara data di dalam CPU. Pada prototipe ini digunakan 16 register 8 bit. Proses membaca data dilakukan pada dua register sekaligus dimana setiap register memiliki alamat tersendiri. Ini berarti bahwa dua alamat input dibutuhkan. Karena terdapat 16 buah register maka 4 bit dibutuhkan untuk menentukan alamat masing-masing register. Gambar 4.1 Register File 15 4.5 Program Counter Program Counter terdiri atas sebuah register yang menyimpan alamat memory dari sebuah instruksi. Program Counter akan menunjukkan alamat dari instruksi yang akan dilaksanakan dan akan meningkatkan cacahannya setelah instruksi tersebut dilaksanakan. Pada protipe ini digunakan 16 bit instruksi dan ROM 16 bit sehingga setiap instruksi akan menempati satu lokasi memori. Untuk mengambil instruksi berikutnya, Program Counter akan menambahkan angka 1 pada alamat instruksi sebelumnya. Gambar 4.2 Program Counter 16 BAB V. SIMPULAN DAN SARAN 5.1 Simpulan Hasil pengujian dengan Altera Max+plus menunjukkan bahwa desain prototipe yang telah dibuat menunjukkan hasil yang cukup baik. Semua keluaran menunjukkan hasil sesuai dengan yang dinginkan sehingga dapat disimpulkan bahwa unit-unit yang di desain dalam prosessor berfungsi dengan benar. 5.2 Saran Untuk mengetahui desain bekerja dengan baik setelah disimpan pada kepingan prosessor maka pengujian fungsi-fungsi unit lebih lanjut dapat dilakukan pada board Altera Max+plus. 17 DAFTAR PUSTAKA [1]B. Ninnes, (2003), “ELEC 3710 Microprosessor Systems”, The University of Newcastle, Australia. [2]H. Murase, (2001), “Microprosessor Control for Plant Factories”, 2nd IFACCIGR Workshop in Intelligent Control for Agricultural Applications. Bali, August 22nd-24th, 2001. [3]Mazidi, M. Ali, (2000), “The 8051 Microcontroller and Embedded Systems”. Penerbit Prentice Hall, Upper Saddle River, New Jersey, Colombus, Ohio. [4]Patterson and Hennessy, (1994), "Computer Organisation and Design: The Hardware/Software Interface", Morgan Kaufmann Publishers, pp 31-34. [5]Patterson and Hennessy, (1996), "Computer Architecture: A Quantitative Approach", Morgan Kaufmann Publishers, Second Edition, pp 1-3. [6]Patterson and Hennessy, (1994), "Computer Organisation and Design: The Hardware/Software Interface", Morgan Kaufmann Publishers, p306, 312 [7]Rogers, Everett M., (1986), “Communication Technology”, The Free Press, London hal. 25, 31 [8]S. Sukaridhoto, Y. Sasaki, (2004),”Development of a Compact Cluster with Embedded CPUs”, in Proceedings of Industrial Electronics Seminar 2004, pp. 340-343. Surabaya, October 2004 [9]Stakem, P, (1996), "A Practitioners guide To RISC Microprocessor Architecture", Wiley. [10]Tinder, Richard, (1991), "Digital Engineering Design, A Modern Approach", Prentice Hall, p295. [11]Tinder, Richard, (1991), "Digital Engineering Design, A Modern Approach", Prentice Hall, p201 [12]Y. Raharjanto, M. Facta, (2004), “Soft Starter and Soft Stop Sequence for Universal Motor Control using Microcontroller AT89S51”, in Proceedings of Industrial Electronics Seminar 2004, pp. 340-343. Surabaya, October 2004. 18 Lampiran 1. Biodata Peneliti 1. Ketua Tim Nama Lengkap NIP Tempat/Tanggal Lahir Jenis Kelamin Bidang Keahlian Kantor/Unit Kerja Alamat Kantor Alamat rumah Pendidikan No Perguruan Tinggi 1 UNHAS 2 The University of Newcastle : Muhammad Niswar, ST., M.I.T. : 132 240 338 : Makassar, 22 September 1973 : Laki-laki : Computere Engineering : Fakultas Teknik UNHAS : Jl. Perintis Kemerdekaan Km. 10 Makassar 90245 : Kompleks UNHAS Tamalanrea BG-16, Makassar Kota & Negara Makassar, Indonesia Newcastle, Australia Tahun Lulus Bidang Studi 1997 Teknik Telekomunikasi Computer Engineering 2001 Pengalaman Riset No Judul Riset Tahun 1 Research Stay at Internet Development Laboratory (Murai Lab.) 2003 SFC-Keio University, Japan Publikasi No. Karya Ilmiah 1 Niswar, M., Ilham, A.A, and Thamrin A.H. (2004). Rated-based Congestion Control Mechanism for Multicast Communication. Proceeding of National Seminar of Industrial Electronics Seminar 2004 in Polytechnic Institute of Surabaya, Indonesia on 12 October 2004 (ISSN 1412-727x). pp. 331-333 2 Niswar, M., (2003). IP Multicast over satellite using UDLR technology for distance education program. Journal of Elektrikal Enjiniring, (ISSN: 14128357). April 2003. 19 2. Anggota Nama Lengkap NIP Tempat/Tanggal Lahir Jenis Kelamin Bidang Keahlian Kantor/Unit Kerja Alamat Kantor Alamat rumah Pendidikan No Perguruan Tinggi 1 UNHAS 2 The University of Newcastle : Amil Ahmad Ilham, ST., MIT. : 132 205 953 : Bulu/10 Oktober 1973 : Laki-laki : Software Engineering : Fakultas Teknik UNHAS : Jl. Perintis Kemerdekaan Km. 10 Makassar 90245 : Kompleks Perumahan Dosen UNHAS Blok AD/3 Makassar 90245 Kota & Negara Makassar, Indonesia Newcastle, Australia Tahun Lulus Bidang Studi 1997 Teknik Telekomunikasi Software Engineering 2003 Pengalaman Riset No Judul Riset 1 Ketua Tim Peneliti Proyek Penelitian On-line Path Finder, The University of Newcastle, Australia 2 Anggota Tim Peneliti Proyek Penelitian Analisis Kinerja Protokol Ethernet pada Jaringan Komputer Lokal, Lembaga Penelitian Unhas 3 Anggota Tim Peneliti Proyek Penelitian Penggunaan Soundcard sebagai Modem Radio, Lembaga Penelitian - Unhas 4 Ketua Tim Peneliti Proyek Penelitian Pengubah Analog Digital dengan Teknik Integrasi Delta Sigma, Lembaga Penelitian - Unhas 5 Anggota Tim Peneliti Proyek Penelitian Perbandingan Kecepatan Akses Internet pada beberapa Internet Service Provider (ISP), Lembaga Penelitian - Unhas 6 Koordinator Asisten Peneliti proyek penelitian Sistem SCADA Kelistrikan RUT VI Kantor Menristek - LIPI 7 Ketua Tim Peneliti Proyek Penelitian Analisis Kinerja Protokol 1p CSMA/CD, Jurusan Elektro - Unhas Tahun 2003 2001 2000 1999 1999 1998 1997 20 Publikasi No. Karya Ilmiah 1 Niswar, M. , Ilham, A.A, and Thamrin A.H. (2004). Rated-based Congestion Control Mechanism for Multicast Communication. Proceeding of National Seminar of Industrial Electronics Seminar 2004 in Polytechnic Institute of Surabaya, Indonesia on 12 October 2004 (ISSN 1412-727x). pp. 331-333 2 Ahmad, S.S., Ilham, A.A, (2003). Technologies for Client-Server Computing. Journal of Elektrikal Enjiniring, (ISSN: 1412-8357). Volume 02, No. 1, September - Desember 2003, pp. 38-43 3 Ali, T., Ilham, A.A., (1999). Perbandingan Kecepatan Akses Internet antara Sisdiksat Unhas, Indosatnet dan Telkomnet, Jurnal Ilmiah Teknologi HiTech, Edisi 02/Tahun V, ISSN 0852-4173 21 Lampiran 2. Biaya Penelitian Alokasi No. 1 2 Komponen Biaya Harga Satuan (Rp) Total Harga (Rp) % Volume Satuan Alat dan Bahan 1. MAX+PLUS II Simulator 3. ATK (disket,kertas, tinta,dll) 1 1 set Ls 800.000,200.000,- 800.000,200.000,1.000.000,- 83 Laporan 1. Penggandaan 5 Eks. 40.000,- 200.000,200.000,- 17 1.200.000,- 100 Total Biaya Terbilang: Satu Juta Dua Ratus Ribu Rupiah 22