Sirkuit Logika - WordPress.com

advertisement
Sirkuit Logika
PENDAHULUAN
“Pada jaman sekarang ini, teknologi berkembang sangat pesat. Bermacam – macam
alat dihasilkan Sekarang, hampir semua peralatan yang bekerja dengan tegangan listrik sudah
menggunakan rangkaian digital. Saat ini rangkaian elektronika digital sudah bukan barang
asing lagi. Rangkaian digital sudah ada di mana-mana dan bersinergi dengan rangkaian
elektronika analog untuk membentuk rangkaian-rangkaian elektronika yang lebih cermat,
cepat, dan tepat sasaran Sebenarnya, sebuah rangkaian digital tidak harus selalu berupa
rangkaian rumit dengan banyak komponen kecil seperti yang kita lihat di dalam komputer,
handphone, ataupun kalkulator. Sebuah rangkaian dengan kerja sederhana yang menerapkan
prinsip-prinsip digital, juga merupakan sebuah rangkaian digital. Contoh rangkaian digital
sederhana adalah rangkaian pengaman yang ditambahkan pada rangkaian kunci kontak
sepeda motor atau mobil. Pada rangkaian pengaman terdapat kontak (berupa relay atau
transistor) yang aktivitasnya dikontrol oleh pemilik sepeda motor. Kontak pengaman ini
harus dihubungkan seri dengan rangkaian kunci kontak. Akibatnya, walau kunci kontak
terhubung, sepeda motor tidak dapat distarter jika kontak pengaman ini masih terbuka. Cara
ini cukup manjur untuk menghindari pencurian sepeda motor.
Gerbang (gate) dalam rangkaian logika merupakan fungsi yang menggambarkan
hubungan antara masukan dan keluaran. Untuk menyatakan gerbang-gerbang tersebut
biasanya digunakan simbol-simbol tertentu. Ada beberapa standar penggambaran simbol.
Salah satu standar simbol yang populer adalah MIL-STD-806B yang dikeluarkan oleh
Departemen Pertahanan Amerika Serikat untuk keperluan umum pada bulan Februari 1962.
Untuk menunjukkan prinsip kerja tiap gerbang (atau rangkaian logika yang lebih kompleks)
dapat digunakan beberapa cara. Cara yang umum dipakai antara lain adalah tabel kebenaran
(truth table) dan diagram waktu (timing diagram). Karena merupakan rangkaian digital, tentu
saja level kondisi 2 yang ada dalam tabel atau diagram waktu hanya dua macam, yaitu logika
0 (low, atau hight) dan logika 1 (atau False, atau true). Kondisi lain yang mungkin ada adalah
kondisi X (level bebas, bisa logika 1 atau 0), dan kondisi high impedance (impedansi tinggi).
Kondisi X biasanya ada di masukan gerbang dan menyatakan bahwa apa pun logika
masukannya (logika 0 atau 1) tidak akan mempengaruhi logika keluaran yang dihasilkan.
(Hodges D. , Jacson, Nasution S).”
“Kondisi impedansi tinggi pada suatu titik (point) menunjukkan titik yang
bersangkutan diisolasi dari rangkaian lain, sehingga tidak ada logika yang akan
mempengaruhi titik tersebut gerbang dan rangkaian logika juga dapat diimplementasikan
dalam bentuk rangkaian dioda, transistor, ataupun rangkaian terpadu yang disebut integrated
circuit (IC). Dengan semakin majunya teknologi pembuatan komponen mikro-elektronika,
perkembangan komponen IC untuk rangkaian digital menjadi pesat. IC logika jenis TTL
(Transistor- Transistor Logic) dan CMOS (Complementary Metal Oxide Semiconductor)
cukup populer di kalangan masyarakat penggemar elektronika. Walaupun sudah mulai
berkurang, jenis IC tersebut masih banyak digunakan hingga saat ini.
Dalam mengimplementasikan rangkaian digital, kita juga dapat mengunakan
Electronics Workbench (EWB) diteliti untuk diaplikasikan sebagai program simulasi bagi
alat-alat elektronik yang dirancang. Dalam hal ini diteliti mengenai seberapa akurat respons
yang diperoleh dari simulasi EWB dibandingkan dengan respons dari beberapa alat
elektronik real dan juga seberapa banyak jenis alat elektronik yang dapat disimulasikan atau
seberapa banyak jenis komponen atau rangkaian terintegrasi yang terdapat dalam EWB.
Aplikasi EWB ini diharapkan dapat menjembatani kesenjangan antara teori dan praktek
seperti disebut di atas. Biasanya pada suatu karya tulis ilmiah mengenai perancangan dan
penganalisaan suatu alat elektronik hanyalah didasarkan pada studi literatur dan tidak melalui
suatu pembuktian praktis. Pembuktian dengan komponen-komponen dan rangkaianrangkaian terintegrasi fisik selain membutuhkan biaya pengadaan yang tinggi (untuk jenis
dan jumlah besar), juga sering terjadi kerusakan pada komponen-komponen fisik tersebut.
Penggunaan EWB dapat mengatasi kelemahan-kelemahan perangkat keras di atas dan
membangkitkan kepercayaan diri para mahasiswa bahwa alat elektronik yang dirancang dapat
bekerja seperti yang dikehendaki.
Penelitian ini dibatasi dengan menguji coba alat elektronik analog, yang dirancang
dan dianalisa oleh mahasiswa Jurusan Teknik Elektro untuk mata ajaran Analisa dan
Perancangan. Penelitian ini bertujuan untuk menyelidiki keakuratan respons yang diperoleh
dari simulasi EWB dibandingkan dengan respons secara fisik dan teoritis dari alat elektronik
yang dipilih, yakni suatu alat elektronik analog dan berapa banyak jenis komponen atau
rangkaian terintegrasi yang terdapat dalam EWB Transmitter vibrasi adalah alat yang dapat
mengukur level dan komponen frekuensi dari vibrasi mesin secara elektronik serta dapat
mengirimkan data-data itu ke ruang pemantauan sejauh 100 m dari alat tersebut. Transmitter
vibrasi ini menggunakan suatu transduser vibrasi yang disebut akselerometer piezoelektrik /
AP (piezoelectric accelerometer) dan terdiri dari penguat depan muatan, penguat
instrumentasi, penguat tegangan tak membalik dua tingkat, filter lolos bawah, filter lolos pita,
dan pengubah tegangan ke arus. Dengan software tersebut, kita dapat merancang dan
menyimulasi rangkaian di komputer PC, Perancangan rangkaian dapat kita lakukan dengan
cara skematis, yang menggunakan simbol-simbol layaknya menggambar rangkaian digital di
kertas. Atau dengan bahasa VHDL (Visual Hardware Description Language) dan Verilog
yang lebih sulit.”. (Boylestad, Robert dan Louis Nashelsky)
1.1. Latar Belakang
“Gerbang yang diterjemahkan dari istilah asing gate, adalah elemen dasar dari semua
rangkaian yang menggunakan sistem digital. Boleh jadi mereka mengena l istilah pencacah
(counter), multiplekser ataupun encoder dan decoder dalam teknik digital, tetapi adakalanya
mereka tidak tahu dari apa dan bagaimana alat-alat tersebut dibentuk. Ini dikarenakan oleh
mudahnya mendapatkan fungsi tersebut dalam bentuk satu serpih IC (Integrated Circuit).
Bagi yang telah mengetahui dari apa dan bagaimana suatu fungsi digital seperti halnya
pencacah dibentuk hal ini tak akan menjadi masalah, namun bagi pemula dan autodidak yang
terbiasa menggunakan serpih IC berdasarkan penggunaannya akan menjadi memiliki
pendapat yang salah mengenai teknik digital. Untuk itulah artikel berikut yang ditujukan bagi
pemula ditulis. Semua fungsi digital pada dasarnya tersusun atas gabungan beberapa gerbang
logika dasar yang disusun berdasarkan fungsi yang diinginkan. Gerbang-gerbang dasar ini
bekerja atas dasar logika tegangan yang digunakan dalam teknik digital. Logika tegangan
adalah asas dasar bagi gerbang-gerbang logika”. (Hodges D. , Jacson, Nasution S).”
1.2. Perumusan Masalah
Permasalahan yang dibahas dalam makalah ini adalah mempelajari dan memahami
tentang gerbang logika AND, NOT, OR dan NAND dengan menggunakan program
Electronics Workbench (EWB) kemudian merealisasikannya dengan membangun sendiri
sebuah premasalahan mengunakan gerbang NOT OR dan matrik AND. Dimana sebagai
implementasi gerbang NAND dan di lanjutkan dengan menggunakan IC dan penerapan
Dekoder.
1.3. Deskripsi Tentang Materi Praktek
1.3.1. Gerbang Logika
“Gerbang logika atau gerbang logik adalah suatu entitas dalam elektronika dan
matematika boolean yang mengubah satu atau beberapa masukan logik menjadi sebuah
sinyal keluaran logik. Gerbang logika terutama diimplementasikan secara elektronis
menggunakan dioda atau transistor, akan tetapi dapat pula dibangun menggunakan susunan
komponen-komponen yang memanfaatkan sifat-sifat elektromagnetik (relay). Logika
merupakan dasar dari semua penalaran (reasoning). Untuk menyatukan beberapa logika,
kita membutuhkan operator logika dan untuk membuktikan kebenaran dari logika, kita
dapat menggunakan tabel kebenaran. Tabel kebenaran menampilkan hubungan antara nilai
kebenaran dari proposisi atomik. Dengan tabel kebenaran, suatu persamaan logika ataupun
proposisi bisa dicari nilai kebenarannya. Tabel kebenaran pasti mempunyai banyak aplikasi
yang dapat diterapkan karena mempunyai fungsi tersebut. Salah satu dari aplikasi tersebut
yaitu dengan menggunakan tabel kebenaran kita dapat mendesain suatu rangkaian logika.
Dalam makalah ini akan dijelaskan bagaimana peran dan kegunaan tabel kebenaran dalam
proses pendesainan suatu rangkaian logika.
Gerbang yang diterjemahkan dari istilah asing gate, adalah elemen dasar dari semua
rangkaian yang menggunakan sistem digital. Semua fungsi digital pada dasarnya tersusun
atas gabungan beberapa gerbang logika dasar yang disusun berdasarkan
fungsi yang
diinginkan. Gerbang -gerbang dasar ini bekerja atas dasar logika tegangan yang digunakan
dalam teknik digital.Logika tegangan adalah asas dasar bagi gerbang-gerbang logika.
Dalam teknik digital apa yang dinamakan logika tegangan adalah dua kondisi tegangan
yang saling berlawanan. Kondisi tegangan “ada tegangan” mempunyai istilah lain
“berlogika satu” (1) atau “berlogika tinggi” (high), sedangkan “tidak ada tegangan”
memiliki istilah lain “berlogika nol” (0) atau “berlogika rendah” (low). Dalam membuat
rangkaian logika kita menggunakan gerbang-gerbang logika yang sesuai dengan yang
dibutuhkan. Rangkaian digital adalah sistem yang mempresentasikan sinyal sebagai nilai
diskrit. Dalam sebuah sirkuit digital,sinyal direpresentasikan dengan satu dari dua macam
kondisi yaitu 1 (high, active, true,) dan 0 (low, nonactive,false).” (Sendra, Smith, Keneth
C)
1.3.2. Rangkaian Terpadu (IC) Untuk Gerbang -Gerbang Dasar
“Setelah mengenal gerbang-gerbang dasar yang digunakan dalam teknik digital, bagi
para pemula mengkin saja timbul pertanyaan dimana gerbang-gerbang ini dapat diperoleh?
Jawabannya mudah sekali, karena gerbang- gerbang ini telah dijual secara luas dipasaran
dalam IC tunggal (single chip). Yang perlu diperhatikan sekarang adalah dari jenis apa dan
bagaimana penggunaan dari kaki-kaki IC yang telah didapat. Sebenarnya informasi dari IC-IC
yang ada dapat dengan mudah ditemukan dalam buku data sheet IC yang sekarang ini banyak
dijual. Namun sedikit contoh berikut mungkin akan me mpermudah pencarian. Berikut adalah
keterangan mengenai IC-IC yang mengandung gerbang-gerbang logika dasar yang dengan
mudah dapat dijumpai dipasaran.
Catatan:

Ada dua golongan besar IC yang umum digunakan yaitu TTL dan CMOS.

IC dari jenis TTL memiliki mutu yang relatif lebih baik daripada CMOS dalam hal daya
yang dibutuhkan dan kekebalannya akan desah.

IC TTL membutuhkan catu tegangan sebesar 5 V sedangkan CMOS dapat diberi catu
tegangan mulai 8 V sampai 15 V. Hali ini harus diingat benar-benar karena kesalahan
pemberian catu akan merusakkan IC.

Karena adanya perbedaan tegangan catu maka tingkat tegangan logika juga akan berbeda.
Untuk TTL logika satu diwakili oleh tegangan sebesar maksimal 5 V sedangkan untuk
CMOS diwakili oleh tegangan yang maksimalnya sebesar catu yang diberikan, bila catu
yang diberikan adalah 15 V maka logika satu akan diwakili oleh tegangan maksimal
sebesar 15 V. Logika pada TTL dan CMOS adalah suatu tegangan yang harganya
mendekati nol.

Untuk TTL nama IC yang biasanya terdiri atas susunan angka dimulai dengan angka 74
atau 54 sedangkan untuk CMOS angka ini diawali dengan 40.”(Ian Robertson Sinclair,
Suryawan)
2.1. RANGKAIAN DASAR GERBANG LOGIKA
2.1.1. Gerbang Not (Not Gate)
“Gerbang NOT atau juga bisa disebut dengan pembalik (inverter) memiliki fungsi
membalik logika tegangan inputnya pada outputnya. Sebuah inverter (pembalik) adalah
gerbang dengan satu sinyal masukan dan satu sinyal keluaran dimana keadaan keluaranya
selalu berlawanan dengan keadaan masukan. Membalik dalam hal ini adalah mengubah
menjadi lawannya. Karena dalam logika tegangan hanya ada dua kondisi yaitu tinggi dan
rendah atau “1” dan “0”, maka membalik logika tegangan berarti mengubah “1” menjadi
"0” atau sebaliknya mengubah nol menjadi satu. Simbul atau tanda gambar pintu NOT
ditunjukkan pada gambar dibawah ini.
2.1.2. GERBANG AND (AND GATE)
Gerbang AND (AND GATE) atau dapat pula disebut gate AND ,adalah suatu
rangkaian logika yang mempunyai beberapa jalan masuk (input) dan hanya mempunyai
satu jalan keluar (output). Gerbang AND mempunyai dua atau lebih dari dua sinyal
masukan tetapi hanya satu sinyal keluaran. Dalam gerbang AND, untuk menghasilkan
sinyal keluaran tinggi maka semua sinyal masukan harus bernilai tinggi.
2.1.3. GERBANG OR (OR GATE)
Gerbang OR berbeda dengan gerbang NOT yang hanya memiliki satu input, gerbang
ini memiliki paling sedikit 2 jalur input. Artinya inputnya bisa lebih dari dua, misalnya
empat atau delapan. Yang jelas adalah semua gerbang logika selalu mempunyai hanya
satu output. Gerbang OR akan memberikan sinyal keluaran tinggi jika salah satu atau
semua sinyal masukan bernilai tinggi, sehingga dapat dikatakan bahwa gerbang OR hanya
memiliki sinyal keluaran rendah jika semua sinyal masukan bernilai rendah.
2.1.4. Gerbang NAND
Gerbang NAND adalah suatu NOT-AND, atau suatu fungsi AND yang dibalikkan. Dengan
kata lain bahwa gerbang NAND akan menghasilkan sinyal keluaran rendah jika semua sinyal
masukan bernilai tinggi.
2.1.5. Gerbang NOR
Gerbang NOR adalah suatu NOT-OR, atau suatu fungsi OR yang dibalikkan sehingga dapat
dikatakan bahwa gerbang NOR akan menghasilkan sinyal keluaran tinggi jika semua sinyal
masukanya bernilai rendah.
2.1.6. Gerbang X-OR
Gerbang X-OR akan menghasilkan sinyal keluaran rendah jika semua sinyal masukan
bernilai rendah atau semua masukan bernilai tinggi atau dengan kata lain bahwa X-OR akan
menghasilkan sinyal keluaran rendah jika sinyal masukan bernilai sama semua.
2.1.7. Gerbang X-NOR
Gerbang X-NOR akan menghasilkan sinyal keluaran tinggi jika semua sinyal masukan
bernilai sama (kebalikan dari gerbang X-OR).
CONTOH PENERAPAN GERBANG LOGIKA
Contoh1: F = A + B.C
Gambar1: Rangkain gerbang logika.
Contoh2: F = A’ + B’.C’
Gambar2 Rangkain gerbang logika.”
(David Bucchlah, Wayne McLahan,)
2.2. RANGKAIAN GERBANG KOMBINASI
“Semua rangkaian logika dapat digolongkan atas dua jenis, yaitu rangkaian kombinasi
(combinational circuit) dan rangkaian berurut (sequential circuit). Perbedaan kedua jenis rangkaian
ini terletak pada sifat keluarannya. Keluaran suatu rangkaian kombinasi setiap saat hanya ditentukan
oleh masukan yang diberikan saat itu. Keluaran rangkaian berurut pada setiap saat, selain ditentukan
oleh masukannya saat itu, juga ditentukan oleh keadaan keluaran saat sebelumnya, jadi juga oleh
masukan sebelumnya. Jadi, rangkaian berurut tetap mengingat keluaran sebelumnya dan dikatakan
bahwa rangkaian ini mempunyai ingatan (memory). Kemampuan mengingat pada rangkaian berurut
ini diperoleh dengan memberikan tundaan waktu pada lintasan balik (umpan balik) dari keluaran ke
masukan. Secara diagram blok, kedua jenis rangkaian logika ini dapat digambarkan seperti pada
Gambar 1.” (Albert Paul Malvino, Ph.D.)
Gambar 3. Model Umum Rangkaian Logika
(a) Rangkaian Kombinasi
(b) Rangkaian Berurut
2.2.1. PERANCANGAN RANGKAIAN KOMBINASI
“Rangkaian kombinasi mempunyai komponen-komponen masukan, rangkaian logika, dan
keluaran, tanpa umpan balik. Persoalan yang dihadapi dalam perancangan (design) suatu rangkaian
kombinasi adalah memperoleh fungsi Boole beserta diagram rangkaiannya dalam bentuk susunan
gerbang-gerbang. Seperti telah diterangkan sebelumnya, fungsi Boole merupakan hubungan aljabar
antara masukan dan keluaran yang diinginkan. Langkah pertama dalam merancang setiap rangkaian
logika adalah menentukan apa yang hendak direalisasikan oleh rangkaian itu yang biasanya dalam
bentuk uraian kata-kata (verbal). Berdasarkan uraian kebutuhan ini ditetapkan jumlah masukan yang
dibutuhkan serta jumlah keluaran yang akan dihasilkan. Masing-masing masukan dan keluaran diberi
nama simbolis. Dengan membuat tabel kebenaran yang menyatakan hubungan masukan dan
keluaran yang diinginkan, maka keluaran sebagai fungsi masukan dapat dirumuskan dan
disederhanakan dengan cara-cara yang telah diuraikan dalam bab-bab sebelumnya.
Berdasarkan persamaan yang diperoleh ini, yang merupakan fungsi Boole dari pada
rangkaian yang dicari, dapat digambarkan diagram rangkaian logikanya Ada kalanya fungsi Boole
yang sudah disederhanakan tersebut masih harus diubah untuk memenuhi kendala yang ada seperti
jumlah gerbang dan jenisnya yang tersedia, jumlah masukan setiap gerbang, waktu perambatan
melalui keseluruhan gerbang (tundaan waktu), interkoneksi antar bagian-bagian rangkaian, dan
kemampuan setiap gerbang untuk mencatu (drive) gerbang berikutnya. Harga rangkaian logika
umumnya dihitung menurut cacah gerbang dan cacah masukan keseluruhannya. Ini berkaitan
dengan cacah gerbang yang dikemas dalam setiap kemasan.
Gerbang-gerbang logika yang tersedia di pasaran pada umumnya dibuat dengan teknologi
rangkaian terpadu (Integrated Circuit, IC). Pemaduan (integrasi) gerbang-gerbang dasar seperti NOT,
AND, OR, NAND, NOR, XOR pada umumnya dibuat dalam skala kecil (Small Scale Integration, SSI)
yang mengandung 2 sampai 6 gerbang dalam setiap kemasan. Kemasan yang paling banyak
digunakan dalam rangkaian logika sederhana berbentuk DIP (Dual- In-line Package), yaitu kemasan
dengan pen-pen hubungan ke luar disusun dalam dua baris sejajar. Kemasan gerbang-gerbang dasar
umunya mempunyai 14-16 pen, termasuk pen untuk catu daya positif dan nol (Vcc dan Ground).
Setiap gerbang dengan 2 masukan membutuhkan 3 pen (1 pen untuk keluaran) sedangkan gerbang 3
masukan dibutuhkan 4 pen. Karena itu, satu kemasan 14 pen dapat menampung hanya 4 gerbang 2
masukan atau 3 gerbang 3 masukan.
Dalam praktek kita sering terpaksa menggunakan gerbang-gerbang yang tersedia di pasaran
yang kadang-kadang berbeda dengan kebutuhan rancangan kita. Gerbang yang paling banyak
tersedia di pasaran adalah gerbang-gerbang dengan 2 atau 3 masukan. Umpamanya, dalam
rancangan kita membutuhkan gerbang dengan 4 atau 5 masukan dan kita akan mengalami kesulitan
memperoleh gerbang seperti itu. Karena itu kita harus mengubah rancangan sedemikian sehingga
rancangan itu dapat direalisasikan dengan gerbang-gerbang dengan 2 atau 3 masukan. Kemampuan
pencatuan daya masing-masing gerbang juga membutuhkan perhatian. Setiap gerbang mampu
mencatu hanya sejumlah tertentu gerbang lain di keluarannya (disebut sebagai fan-out). Ini
berhubungan dengan kemampuan setiap gerbang dalam menyerap dan mencatu arus listrik. Dalam
perancangan harus kita yakinkan bahwa tidak ada gerbang yang harus mencatu terlalu banyak
gerbang lain di keluarannya. Ini sering membutuhkan modifikasi rangakaian realisasi yang berbeda
dari rancangan semula. Mengenai karakteristik elektronik gerbang-gerbang logika dibahas dalam
Lampiran A.” (Albert Paul Malvino, Ph.D.)
2.3. IMPLEMENTASI RANGKAIAN GERBANG LOGIKA DENGAN GERBANG NAND
2.3.1. Gerbang NAND (NOT And)
“Gerbang NAND dan NOR merupakan gerbanguniversal, artinya hanya dengan
menggunakan jenisgerbang NAND saja atau NOR sajadapat menggantikan fungsi dari 3 gerbang
dasar yang lain (AND, OR, NOT). Multilevel, artinya: denganmengimplementasikan gerbang NAND
atau NOR, akan ada banyak level / tingkatan mulai dari sisitem input sampai kesisi output.
Keuntungan pemakaian NAND saja atau NOR saja dalam sebuah rangkaian digital adalah dapat
mengoptimalkan pemakaian seluruh gerbang yang terdapat dalam sebuah IC, sehingga menghemat
biaya
Gerbang NAND adalah pengembangan dari gerbang AND. Gerbang ini sebenarnya
adalah gerbang AND yang pada outputnya dipasang gerbang NOT. Gerbang yang paling sering
digunakan untuk membentuk rangkaian kombinasi adalah gerbang NAND dan NOR, dibanding
dengan AND dan OR. Dari sisi aplikasi perangkat luar, gerbang NAND dan NOR lebih umum
sehingga gerbang-gerbang tersebut dikenal sebagai gerbang yang “universal”. Gerbang-gerbang
NOT, AND dan OR dapat di-substitusi ke dalam bentuk NAND saja, dengan hubungan seperti
gambar 2.
Gambar 4. Substitusi Beberapa Gerbang Dasar Menjadi NAND
Rangkaian Asal
Rangkaian Dengan NAND saja
Gambar 5, impelemtasi Gergang NAND
Untuk mendapatkan persamaan dengan menggunakan NAND saja, maka persamaan asal
harus dimodifikasi sedemikian rupa, sehingga hasil akhir yang didapatkan adalah persamaan
dengan NAND saja. Gerbang NAND sangat banyak di pakai dalam computer modern dan
mengeti pemakaiannya sangat berharga bagi kita, untuk merancang jaringan gerbang NAND ke
NAND, gunakan prosedur tabel kombinasi untuk ungkapan jumlah hasil kali,
Dalam perancangan logika, gerbang logika siskrit tidak selalu digunakan ttapi biasanya
beisi banyak gerbang, karena itu, biasanya lebih disukai untuk memanfaatkan satu jenis gerbang,
dan bukan campuran beberapa gerbang untuk alasan ini konversi gerbang digunakan untuk
menyatukan suatu fungsi gerbang tertentu dengan cara mengombinasikan beberapa gerbang yang
bertipe sama, suatu misal implementasi gerbang NAND ke dalam gerbang NO, gerbang AND dan
gerbang OR (Kf Ibrahim, “Tehnik Digital”)
Pertimbangan lain nya dalam impelemtasi fungis boole berkaitan dengan jenis gate yang
digunakan, seringkali di rasakan perlu nya untuk mengimplimentasikan fungsi boole dengan
hanya menggunakan gate-gate NAND saja, walaupun mungkin tidak merupakan implementasi
gate
minimum,
teknik
tersebut
memiliki
keuntungan
dan
keteraturan
yang
dapat
menyederhanakan proses pembuatan nya di pabrik. (wiliam steling).
2.4. Decoder
“Decoder adalah suatu rangkaian logika kombinasional yang mampu mengubah masukan kode biner
n-bit ke m-saluran keluaran sedemikian rupa sehingga setiap saluran keluaran hanya satu yang akan
aktif dari beberapa kemungkinan kombinasi masukan. Gambar 2.14 memperlihatkan diagram dari
decoder dengan masukam n = 2 dan keluaran m = 4 ( decoder 2 ke 4). Setiap n masukan dapat berisi
logika 1 atau 0, ada 2N kemungkinan kombinasi dari masukan atau kode-kode. Untuk setiap
kombinasi masukan ini hanya satu dari m keluaran yang akan aktif (berlogika 1), sedangkan keluaran
yang lain adalah berlogika 0. Beberapa decoder didisain untuk menghasilkan keluaran low pada
keadan aktif, dimana hanya keluaran low yang dipilih akan aktif sementara keluaran yang lain adalah
berlogika 1. Dari keadaaan aktif keluaranya, decoder dapat dibedakan atas “non inverted output”
dan “inverted output”. (David Bucchlah, Wayne McLahan)
3.2. Langkah Kegiatan Kombinasi Sirkuit Logika
Sistem logika biasanya melibatkan lebih dari satu gerbang yang membentuk
asuatukombinasi untuk melakukan suatu fungsi tertentu. Sebangai contoh kombinasi sederhana dari
sebuah gerbang AND dan sebuah gerbang Not yang akan di sajikan pada langkah – langkah kegiatan
yang di gabungkan dengan Rangkaian gerbang OR Kemudaian bagaimana gerbang – gerbang
tersebut akan di terapkan menjadi IC sesuai dengan gerbang – gerbang yang di gunakan.
Pada gambar di bawah ini akan di jelaskan.
Gambar 29. Gerbang logika dan model IC
Dari gambar di atas kita dapat mengetahui model IC gerbang AND dan Gerbang OR dan
Gerbang Not, adapun dari gambar di atas dapat di jelaskan sebagai berikut :
1. Macam – macam gerbang (logic gate)
2. Pilihan IC gerbang
3. VCC yang ada pada IC ( tempat di sambungkan nya Power atau catu daya )
4. A dan B adalah Inputan
5. Y adalah Output dari Inputan
6. GND adalah Keluaran sebagai Ground
7. Tipe IC yang di gunakan
8. Model IC yang mana yang akan di gunakan dan berapa Inputan yang akan di gunakan
Dari penjelasand di atas kita dapat merangkai sebuah permasalahan F = B A' + A ( B'C' + B C )
yang akan di ubah menggunakan gerbang Model IC
Gambar 30. Rangkaian Kombinasi Gerbang dengan Model IC
Dari gambar di atas kita dapat mengetahui Rangkaian gerbang dengan model IC, yang
menggunakan Gerbang NOT, OR dan AND, kombinasi gerbang ketiga tersebut di jadikan sebuah
rangkaian IC yang dapat di buktikan pada gambar berikutnya.
Gambar 31, Percobaan Dengan tiga inputan
Dari gambar di atas kita dapat melihan bahwa inputan A itu berniai 1 dan imputan B dan C
bernilai 0, jadi output dari inputan A yang benilai 1 adalah lampu menyala dan Pengukuman.
Volt meter berjalan
Gambar 32, inputan C bernilai 1
Dari gambar di atas kita dapat melihat bahwa lampu tidak menyala karna dari hasil
kombinasi gerbang di atas mengeluarkan output 0 sehingga lampu tidak menyala, lampu menyala
jika imputan A dan imputan B itu bernilai 1.
Gambar 33, inputan A dan B berniali 1.
Dari kombinasi inputan A dan B bernilai 1 sehingga lampu menyala karna setelah dip roses output
nya bernilai 1, gerbang kombinasi And jika di hubungkan dengan Gerbang OR maka kombinasi
tersebut akan di kalikan.
Gambar 34. Inputan A dan C berniali 1.
Gambar 35, inputan A, B dan C bernilai 1
Dari gambar di atas kita dapat melihat bahwa ketiga imputan tersebut adalah bernilai 1
sehingga lampu tersebut menyala, dari semua pecobaan di atas kita dapat memahami bahwa
kombinasi gerbang AND, NOT dan OR itu memiliki outpun yang berpariasi tergantung dengan
rangkaian yang kita buat.
3.3. Langkah Kegiatan III Implementasi Gerbang NAND
Sistem logika biasanya melibatkan lebih dari satu gerbang yang membentuk suatu kombinasi
untuk melakukan suatu fungsi tertentu. Sebangai contoh kombinasi sederhana dari sebuah gerbang
AND dan sebuah gerbang Not yang akan di sajikan pada langkah – langkah kegiatan yang di
gabungkan dengan Rangkaian gerbang OR Kemudaian bagaimana gerbang – gerbang tersebut akan
di terapkan menjadi IC sesuai dengan gerbang – gerbang yang di gunakan.
Pada gambar di bawah ini akan di jelaskan. Bagaimana impelemetasi gerbang NAND dari
kombinasi gerbang AND, OR dan NOT. Suatu contoh permasalah di bawah ini akan di buat kombinasi
gerbang dan implementasi gerbang NAND.
Gambar, 36 pemilihan Gerbang atau IC yang digunakan
Dari gambar di atas kita dapat mengetahui bagaimna gambar tersebut menerapkan suatu
pemilihan gerbang yang akan di gunakan dan model IC yang di gunakan, adapun IC itu memiliki
pilihan – pilihan berapa imputan yang akan di gunakan seperti contoh tipe IC 7408 (Quad 2-in AND)
adalah type 2 inputan untuk gerbang AND.
Gambar 37, Rangkaian Kombinasi gerbang dan Model IC
Dari gambar di atas kita dapat mengetahui rangkaian kombinasi gerbang logic dan IC yang
belum di masukkan suatu inputan.
Gambar 38, semua Imputan Bernilai 1
Dari gambar di atas kita dapat mengetahui semua inputan di atas itu bernilai 1 dan
lampu nya menyala, ini membuktikan bahwa dari hasil kombinasi di atas itu menghasil kan output 1.
Rankaian di atas itu membutuhkan 3 model gerbang dan ini cukup boros untuk proses kinerja suatu
gerbang yang di rancang, dari itu kita akan menerapkan suatu implementasi gerbang NAND yang
hanya cukup mengguankan 1 gerbang saja, seperti gambar di bawah ini.
Gambar 39, implementasi gerbang NAND
Dari gambar di atas kita dapat mengetahui suatu implementasi Gerbang NAND dari
beberapa gerbang yaitu AND, OR dan NOT. Implementasi itu berfungsi untuk mempermudah dan
menghemat gerbang karna penggunaan tiga model gerbang itu lebih boros dari penggunaan satu
model gerbang. Setelah di konversikan hasil nya pun sama seperti yang di jelaskan pada gambar
berikutnya. Dengan adanya model penerapan inpelemtnasi gerbang maka perusahaan akan mengirit
biaya untuk perancangan model IC, dan juga dapat memperkecil suatu desing yang di gunakan.
Gambar 40, implementasi gerbang NAND denga inputan 1
Dari gambar di atas dapat di ketahui bahwa imputan imlementasi gerbang NAND bernilai 1
sehingga outputnya berniai 1. Dari uji coba di atas dapat di ketahui bahwa fungsi dari kombinasi
gerbang AND, OR dan NOT itu sama hasilnya dengan implementasi gerbang NAND. Jadi dengan
mudah di pahami bahwa rangakian NAND adalah gambungan dari gerbang – gerbang dasar yaitu
AND, OR dan NOT.
3.4. Langkah Kegiatan IV DECODER
Dalam penjelasan decoder ini, kita tidak akan memulai langkah secara mendetail, kita cukup
hanya dengan menjelaskan bentuk rangkainnya. Pada penjelasan-penjelasan sebelumnya kita sudah
mengerti bagaimana mengakses program EWB tersebut, dan bagaimana pula kita menggunakan
tools yang pernah kita lakukan sebelumnya. Hanya dalam penjelasan ini diterangakan bagaimana
bentuk pengaksesan decoder tersebut.
Dalam hal ini kita akan menyelesaikan sebuah masalah yaitu berikut ini.
diketahui :
8 bit chip => D0-D7
00 - 5F decoder l chip enable=high
00--5F
0101–1111
D7 D6 D5 D4 D3 D2 D1 D0
Dimana D5—D0= 0-1
Berdasarkan bentuk persoalan di atas maka kita dapat merancang suatu tabel kebenaran seperti di
bawah ini :
D7
D6
D5
D4
OUT
0
0
0
0
1
0
0
0
1
1
0
0
1
0
1
0
0
1
1
1
0
0
0
0
1
0
0
1
0
1
0
1
0
0
1
0
1
1
0
0
0
0
0
0
1
0
1
0
0
1
1
0
0
0
0
1
1
0
0
0
Berdasarkan table tersebut, gerbang yang cocok untuk output diatas adalah gerbang NAND.
Karena apabila nilai D5 dan D6 bernilail 1 maka rangkain yang terjadi adalah enable low sedangkan
rangkaian yang diminta adalah enable High. Jadi jelaslah table tersebut gerbang NAND karna sifat
gerbang
ini
adalah
1
jika
salah
satu
dan
keduanya
berniali
0.
Karena jawaban untuk table tersebut sudah ditemukan maka langkah yang kita lakukan adalah
menggabungkan rangkain-rangkaian tersebut. Maka hasil dari rangkain tersebut adalah sebagai
berikut.
3.4.1. Rangkaian Gerbang Nand dari Decoder
Setelah kita mengetahui gerbang apa yang akan di gunakan pada persoalan DECODER ini
maka kita akan mencoba untuk merancang suatu Gerbang logika dengan menggunakan gerbang
NAND untuk membuktikan dari hasil tabel kebenaran di atas,.
Gambar 41, Gerbang Logika
Gambar di atas adalah pengguanaan gerbang NAND dan OR pada persoalan decoder
tersebut dan kita akan mecoba untuk memberikan inputan pada masing variable, terlebih dahulu
pastikan sofwere dalam keadaan menyala untuk menguji suatu permasalahan seperti pada gambar
di bawah ini.
Gambar 42, Proses Pengetesan
Gambar diatas adalah ipuntan A, B dan C bernilai 0, tetapi lampu sudah menyala, karna
output yang di hasilkan adalah enable hight. Selanjutnya kita akan mencoba untuk memasukkan
inputan pada gambar berikutnya.
Gambar 43, inputan 1 pada Variabel A
Gambar di atas adalah inputan A berilai 1, pada D5 sehingga lampu masih menyala karna
antara D4 dan D5 itu mengeluarkan output enable HIGHT. Selanjunya kita akan mencoba untuk
memasukkan nilai 1 pada variable B, seperti gambar di bawah ini
Gambar 44, inputan pada Variabel
Gambar di atas adalah imputan variable B berniali 1, kita dapat melihat bahwa lampu masih
menyala dan juga pada inputan variable C berniali 1, lampu tetap menyala karna output yang di
ahsilkan itu bernilai 1 artinya enable HIGHT. Selanjutnya akan di jelaskan pada gambar di bawah ini.
Gambar 45, inputan pada 2 Variabel
Gambar di atas adalah inputan variable A dan B bernilai 1 dan inputan pada variable A dan C
berniai 1 juga, sehigga output yang di hasilkan adalah enable Hight. Selanjutnya kita akan mencoba
kepada semua variable bernilai 1, akan di jelaskan pada gambar di bawah ini.
Gambar 46, inputan 1 pada semua variable.
Dari gambar di atas kita dapat mengetahui bahwa inputan A, B dan C itu bernilai 1 dan
lampu nya pun tidak menyala, karna setelah di proses pada ketiga gerbang tersebut itu
menghasilkan output enable LOW, sehingga lampu nya tidak menyala, seperti yang telah di jelaskan
pada tabel kebenaran sebelum nya, bahwa jika ketiga imputan tersebut bernilai variable 1 maka
output nya akan berniali 0 atau di sebut enable LOW.
Created by Sa’duddin
22 Juni 2010
Download